From fc9ea65b8ac1849c9756cc58e6f14500646b1d8c Mon Sep 17 00:00:00 2001 From: Mike Stirling Date: Sat, 30 Jul 2011 10:39:52 +0100 Subject: Keyboard now working (needed to loop back slow bus outputs to inputs on system VIA). Added aux input to debugger for display of arbitrary hex values. Removed test IFR output from 6522 --- m6522.vhd | 5 +---- 1 file changed, 1 insertion(+), 4 deletions(-) (limited to 'm6522.vhd') diff --git a/m6522.vhd b/m6522.vhd index a0dd241..fe82b3f 100644 --- a/m6522.vhd +++ b/m6522.vhd @@ -90,8 +90,7 @@ entity M6522 is I_P2_H : in std_logic; -- high for phase 2 clock ____----__ RESET_L : in std_logic; ENA_4 : in std_logic; -- clk enable - CLK : in std_logic; - testout : out std_logic_vector(7 downto 0) + CLK : in std_logic ); end; @@ -192,8 +191,6 @@ architecture RTL of M6522 is signal final_irq : std_logic; begin - testout <= r_ifr and "1" & r_ier; - p_phase : process begin -- internal clock phase -- cgit v1.2.3