From 3eb0950c04b078262bd7cb5689f2a143832dbad7 Mon Sep 17 00:00:00 2001 From: Mike Stirling Date: Sun, 18 Sep 2011 09:58:54 +0100 Subject: ...and the rest of the previous commit --- bbc_micro_de1.qsf | 7 ++----- 1 file changed, 2 insertions(+), 5 deletions(-) (limited to 'bbc_micro_de1.qsf') diff --git a/bbc_micro_de1.qsf b/bbc_micro_de1.qsf index 02d1b4f..be8b1f2 100644 --- a/bbc_micro_de1.qsf +++ b/bbc_micro_de1.qsf @@ -501,10 +501,9 @@ set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" set_global_assignment -name MISC_FILE "U:/git_repos/fpga/bbc/bbc_micro_de1.dpf" set_location_assignment PIN_AB15 -to FL_CE_N set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" -set_global_assignment -name USE_CONFIGURATION_DEVICE ON set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS4 set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED" -set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS PROGRAMMING PIN" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" set_location_assignment PIN_U20 -to SD_nCS set_location_assignment PIN_V20 -to SD_SCLK set_location_assignment PIN_Y20 -to SD_MOSI @@ -520,7 +519,6 @@ set_global_assignment -name VHDL_FILE "sn76489-1.0/sn76489_attenuator.vhd" set_global_assignment -name VHDL_FILE "sn76489-1.0/sn76489_clock_div.vhd" set_global_assignment -name VHDL_FILE "sn76489-1.0/sn76489_latch_ctrl.vhd" set_global_assignment -name VHDL_FILE ps2_intf.vhd -set_global_assignment -name VHDL_FILE simple_uart.vhd set_global_assignment -name VHDL_FILE m6522.vhd set_global_assignment -name VHDL_FILE seg7.vhd set_global_assignment -name VHDL_FILE vidproc.vhd @@ -536,5 +534,4 @@ set_global_assignment -name VHDL_FILE m6522_tb.vhd set_global_assignment -name VHDL_FILE keyboard.vhd set_global_assignment -name VHDL_FILE debugger.vhd set_global_assignment -name QIP_FILE saa5050_rom.qip -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top -set_global_assignment -name VHDL_FILE mos6522.vhd \ No newline at end of file +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file -- cgit v1.2.3