set_global_assignment -name IP_TOOL_NAME "Virtual JTAG" set_global_assignment -name IP_TOOL_VERSION "11.0" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "vjtag_mega.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "vjtag_mega.bsf"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "vjtag_mega_inst.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "vjtag_mega_bb.v"]