From 1575d4f53805f177474b5bb96daebede9b2dfb73 Mon Sep 17 00:00:00 2001 From: root Date: Wed, 24 Feb 2021 23:45:58 +0000 Subject: base --- de1/fpga-bbc-pq/master/series | 16 ++++++++++++++++ 1 file changed, 16 insertions(+) create mode 100644 de1/fpga-bbc-pq/master/series (limited to 'de1/fpga-bbc-pq/master/series') diff --git a/de1/fpga-bbc-pq/master/series b/de1/fpga-bbc-pq/master/series new file mode 100644 index 0000000..8219dc3 --- /dev/null +++ b/de1/fpga-bbc-pq/master/series @@ -0,0 +1,16 @@ +line-endings.patch +gitignore.patch +build-system.patch +saa5050-rom.patch +saa5050-fixes.patch +scan-rate-converter.patch +cpu-core-fixes.patch +sn76489-fixes.patch +audio-16bit-48k.patch +keyboard.patch +keyboard-fixes.patch +dram-floating-pins.patch +other-floating-pins.patch +missing-process-sensitivity.patch +fix-warnings.patch +endstop -- cgit v1.2.3