From 768c67e54b9a5b1977ad0cdcf5cf97ba467708aa Mon Sep 17 00:00:00 2001 From: Rocco Marco Guglielmi Date: Mon, 3 Oct 2016 13:06:23 +0000 Subject: Fixed Bug #782. git-svn-id: svn://svn.code.sf.net/p/chibios/svn/trunk@9845 35acf78f-673a-0410-8e92-d51de3d6d3f4 --- os/hal/ports/STM32/STM32F1xx/hal_ext_lld_isr.c | 3 +++ 1 file changed, 3 insertions(+) (limited to 'os/hal') diff --git a/os/hal/ports/STM32/STM32F1xx/hal_ext_lld_isr.c b/os/hal/ports/STM32/STM32F1xx/hal_ext_lld_isr.c index 4479262c2..0f97d134a 100644 --- a/os/hal/ports/STM32/STM32F1xx/hal_ext_lld_isr.c +++ b/os/hal/ports/STM32/STM32F1xx/hal_ext_lld_isr.c @@ -322,7 +322,10 @@ void ext_lld_exti_irq_enable(void) { #if defined(STM32F10X_CL) /* EXTI vectors specific to STM32F1xx Connectivity Line.*/ nvicEnableVector(OTG_FS_WKUP_IRQn, STM32_EXT_EXTI18_IRQ_PRIORITY); +#if defined(STM32F107xC) + /* EXTI vectors specific to STM32F107 Connectivity Line.*/ nvicEnableVector(ETH_WKUP_IRQn, STM32_EXT_EXTI19_IRQ_PRIORITY); +#endif #elif defined(STM32F10X_MD_VL) || defined(STM32F10X_HD_VL) /* EXTI vectors specific to STM32F1xx Value Line.*/ #else -- cgit v1.2.3