From dee10e9511e5d21ae1c4c02b6b786850b3013b88 Mon Sep 17 00:00:00 2001 From: James Date: Mon, 14 Oct 2013 15:17:08 +0100 Subject: fish --- sdram.vhd | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) (limited to 'sdram.vhd') diff --git a/sdram.vhd b/sdram.vhd index 557d3b0..7e31b5b 100644 --- a/sdram.vhd +++ b/sdram.vhd @@ -55,8 +55,7 @@ component sdram_mcu is ); end component sdram_mcu; - -entity sdram_ctrl is +component sdram_ctrl is port ( clock_100 : in std_logic; @@ -86,7 +85,7 @@ entity sdram_ctrl is sdram_dq : inout data_t; sdram_dqm : out dqm_t ); -end entity; +end component; signal b_addr : addr_t; @@ -121,7 +120,7 @@ begin ebb_0_rnw => b_rnw, -- .rnw ebb_0_wait_n => b_wait_n, -- .wait_n ebb_0_addr => b_addr, -- .addr - ebb_0_data_in => b_data_in8 -- .data + ebb_0_data_in => b_data_in8, -- .data ebb_0_data_out => b_data_out8 -- .data ); -- cgit v1.2.3