From 53023c205537e8f4da07f01d8ecf0e9edbcb94a6 Mon Sep 17 00:00:00 2001 From: James Date: Mon, 14 Oct 2013 15:05:12 +0100 Subject: fish --- sdram.qsf | 1 + 1 file changed, 1 insertion(+) (limited to 'sdram.qsf') diff --git a/sdram.qsf b/sdram.qsf index dec431b..6d3a9f9 100644 --- a/sdram.qsf +++ b/sdram.qsf @@ -145,6 +145,7 @@ set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name PIN_FILE sdram.pin set_global_assignment -name VHDL_FILE sdram.vhd +set_global_assignment -name VHDL_FILE sdram_util.vhd set_global_assignment -name VHDL_FILE sdram_ctrl.vhd set_global_assignment -name QSYS_FILE sdram_mcu.qsys -- cgit v1.2.3