From dee10e9511e5d21ae1c4c02b6b786850b3013b88 Mon Sep 17 00:00:00 2001 From: James Date: Mon, 14 Oct 2013 15:17:08 +0100 Subject: fish --- async_8bit_bus_adapter_hw/hdl/async_8bit_bus_adapter.vhd | 7 ++----- 1 file changed, 2 insertions(+), 5 deletions(-) (limited to 'async_8bit_bus_adapter_hw/hdl/async_8bit_bus_adapter.vhd') diff --git a/async_8bit_bus_adapter_hw/hdl/async_8bit_bus_adapter.vhd b/async_8bit_bus_adapter_hw/hdl/async_8bit_bus_adapter.vhd index 29e4ff3..14c45e7 100644 --- a/async_8bit_bus_adapter_hw/hdl/async_8bit_bus_adapter.vhd +++ b/async_8bit_bus_adapter_hw/hdl/async_8bit_bus_adapter.vhd @@ -27,8 +27,7 @@ entity async_8bit_bus_adapter is wait_n : out std_logic; -- .waitrequest_n readdata : out std_logic_vector(7 downto 0); -- .readdata b_cs_n : out std_logic; -- eight_bit_bus.export - b_rd_n : out std_logic; -- .export - b_wr_n : out std_logic; -- .export + b_rnw : out std_logic; -- .export b_wait_n : in std_logic := '0'; -- .export b_addr : out std_logic_vector(15 downto 0); -- .export b_data_in : in std_logic_vector(7 downto 0) := (others => '0'); -- .export @@ -45,9 +44,7 @@ begin b_cs_n <= '0'; - b_wr_n <= '0'; - - b_rd_n <= '0'; + b_rnw <= '0'; b_data_out <= "00000000"; -- cgit v1.2.3