# -------------------------------------------------------------------------- # # # Copyright (C) 1991-2012 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License # Subscription Agreement, Altera MegaCore Function License # Agreement, or other applicable license agreement, including, # without limitation, that your use is for the sole purpose of # programming logic devices manufactured by Altera and sold by # Altera or its authorized distributors. Please refer to the # applicable agreement for further details. # # -------------------------------------------------------------------------- # # # Quartus II 32-bit # Version 12.0 Build 178 05/31/2012 SJ Web Edition # Date created = 21:48:38 September 12, 2013 # # -------------------------------------------------------------------------- # QUARTUS_VERSION = "12.0" DATE = "21:48:38 September 12, 2013" # Revisions PROJECT_REVISION = "pong"