From bebd13aa0647d237e1c55a4e1338b87361674922 Mon Sep 17 00:00:00 2001 From: James Date: Sat, 12 Oct 2013 13:27:36 +0100 Subject: fish --- .gitignore | 36 +++++++++++++----------------------- Makefile | 9 ++++++--- 2 files changed, 19 insertions(+), 26 deletions(-) diff --git a/.gitignore b/.gitignore index baecc1f..271e084 100644 --- a/.gitignore +++ b/.gitignore @@ -1,36 +1,26 @@ db -pong3.qws +incremental_db +*.qws *.rpt *.bak *.pof *.sof -incremental_db -my_sys.sopcinfo *.done *.fit.summary *.jdi *.map.summary *.sta.summary +my_sys.sopcinfo script/ -software/.metadata/ -software/pong3/obj/ *~ -*.elf -*.map -*.objdump -HAL/ -alt_sys_init.c -drivers/ -libhal_bsp.a -linker.h -linker.x -mem_init.mk -memory.gdb -obj/ -public.mk -summary.html -system.h +src/pong3.elf +src/pong3.objdump +src/pong3.map +bsp *.swp -software/elf.flash -software/sof.flash - +elf.flash +sof.flash +*.stamp +src/Makefile +src/obj +*.pin diff --git a/Makefile b/Makefile index 88af64c..57bb799 100644 --- a/Makefile +++ b/Makefile @@ -24,6 +24,8 @@ asm.stamp:fit.stamp tools/wrap quartus_asm --read_settings_files=off --write_settings_files=off pong3 -c pong3 touch $@ +${SOF}:asm.stamp + fit.stamp: ans.stamp tools/wrap quartus_fit --read_settings_files=off --write_settings_files=off pong3 -c pong3 touch $@ @@ -37,10 +39,12 @@ ${SOPC_FILE}:ans.stamp source.stamp:${SRC} touch source.stamp -elf.stamp: src/Makefile +${ELF}: src/Makefile tools/wrap make -C src - touch $@ +elf.stamp:${ELF} + touch $@ + src/Makefile: bsp.stamp tools/wrap nios2-app-generate-makefile --bsp-dir bsp --src-rdir src --app-dir src --elf-name ${PROJ}.elf @@ -53,7 +57,6 @@ bsp.stamp:${SOPC_FILE} load_elf.stamp:${ELF} load_sof.stamp tools/wrap nios2-download ${ELF} -g - touch $@ load_sof.stamp: ${SOF} tools/wrap quartus_pgm -m JTAG -o "p;${SOF}" -- cgit v1.2.3