From 3c24b4b41abf56cfd3cdcd998b77514d9ba55a6c Mon Sep 17 00:00:00 2001 From: James Date: Fri, 18 Oct 2013 12:17:17 +0100 Subject: revert fixes --- quartus/sn76489-1.0/sn76489_top.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'quartus/sn76489-1.0/sn76489_top.vhd') diff --git a/quartus/sn76489-1.0/sn76489_top.vhd b/quartus/sn76489-1.0/sn76489_top.vhd index f87cbb0..277f601 100644 --- a/quartus/sn76489-1.0/sn76489_top.vhd +++ b/quartus/sn76489-1.0/sn76489_top.vhd @@ -198,7 +198,7 @@ begin -- Register output - process(clock_i,res_n_i) + process(clock_i) begin if res_n_i = '0' then aout_o <= (others => '0'); -- cgit v1.2.3