From e76089009e2def48d0334acacd3218dfd8f512f8 Mon Sep 17 00:00:00 2001 From: James Date: Fri, 18 Oct 2013 12:28:34 +0100 Subject: Revert "general tidying" This reverts commit 70d56cad0d02525d2ab5f484d048fca391cdce8c. --- quartus/keyboard.vhd | 14 ++++++-------- 1 file changed, 6 insertions(+), 8 deletions(-) (limited to 'quartus/keyboard.vhd') diff --git a/quartus/keyboard.vhd b/quartus/keyboard.vhd index 4f736b6..c0b8603 100644 --- a/quartus/keyboard.vhd +++ b/quartus/keyboard.vhd @@ -72,7 +72,6 @@ port ( ); end entity; --- altera message_off 10036 architecture rtl of keyboard is -- PS/2 interface @@ -150,13 +149,6 @@ begin KEYPRESS <= '0'; end if; end process; - - keys(10) <= (others => '0'); - keys(11) <= (others => '0'); - keys(12) <= (others => '0'); - keys(13) <= (others => '0'); - keys(14) <= (others => '0'); - keys(15) <= (others => '0'); -- Decode PS/2 data process(CLOCK,nRESET) @@ -178,6 +170,12 @@ begin keys(8) <= (others => '0'); keys(9) <= (others => '0'); -- These non-existent rows are used in the BBC master + keys(10) <= (others => '0'); + keys(11) <= (others => '0'); + keys(12) <= (others => '0'); + keys(13) <= (others => '0'); + keys(14) <= (others => '0'); + keys(15) <= (others => '0'); elsif rising_edge(CLOCK) then -- Copy DIP switches through to row 0 keys(2)(0) <= DIP_SWITCH(7); -- cgit v1.2.3