From 3c24b4b41abf56cfd3cdcd998b77514d9ba55a6c Mon Sep 17 00:00:00 2001 From: James Date: Fri, 18 Oct 2013 12:17:17 +0100 Subject: revert fixes --- quartus/bbc_micro_de1.vhd | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) (limited to 'quartus/bbc_micro_de1.vhd') diff --git a/quartus/bbc_micro_de1.vhd b/quartus/bbc_micro_de1.vhd index 080b390..d78fe69 100644 --- a/quartus/bbc_micro_de1.vhd +++ b/quartus/bbc_micro_de1.vhd @@ -987,7 +987,7 @@ begin end if; end process; - cycle_stretch: process(clock,reset_n,mhz2_clken) + cycle_stretch: process(clock,reset_n) begin if reset_n = '0' then cpu_cycle_mask <= '0'; @@ -1145,7 +1145,7 @@ begin SRAM_DQ(15 downto 8) <= (others => '0'); -- Synchronous outputs to SRAM - process(clock,reset_n,ram_enable,cpu_r_nw) + process(clock,reset_n) variable ram_write : std_logic; begin ram_write := ram_enable and not cpu_r_nw; @@ -1284,7 +1284,7 @@ begin caps_lock_led_n <= ic32(6); shift_lock_led_n <= ic32(7); - process(clock,reset_n,sys_via_pb_out) + process(clock,reset_n) variable bit_num : integer; begin bit_num := to_integer(unsigned(sys_via_pb_out(2 downto 0))); -- cgit v1.2.3