# -------------------------------------------------------------------------- # # # Copyright (C) 1991-2009 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License # Subscription Agreement, Altera MegaCore Function License # Agreement, or other applicable license agreement, including, # without limitation, that your use is for the sole purpose of # programming logic devices manufactured by Altera and sold by # Altera or its authorized distributors. Please refer to the # applicable agreement for further details. # # -------------------------------------------------------------------------- # # # Quartus II # Version 9.1 Build 222 10/21/2009 SJ Web Edition # Date created = 20:48:44 July 12, 2011 # # -------------------------------------------------------------------------- # # # Notes: # # 1) The default values for assignments are stored in the file: # bbc_micro_de1_assignment_defaults.qdf # If this file doesn't exist, see file: # assignment_defaults.qdf # # 2) Altera recommends that you do not modify this file. This # file is updated automatically by the Quartus II software # and any changes you make may be lost or overwritten. # # -------------------------------------------------------------------------- # set_global_assignment -name FAMILY "Cyclone II" set_global_assignment -name DEVICE EP2C8Q208C8 set_global_assignment -name TOP_LEVEL_ENTITY bbc_micro_de1 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:48:44 JULY 12, 2011" set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[0] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[1] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[2] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[3] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[4] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[5] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[6] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[7] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[8] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[9] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[10] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[11] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[12] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[13] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[14] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[15] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[16] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[17] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[18] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[19] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[20] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[21] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[22] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[23] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[24] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[25] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[26] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[27] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[28] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[29] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[30] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[31] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[32] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[33] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[34] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[35] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[0] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[1] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[2] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[3] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[4] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[5] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[6] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[7] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[8] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[9] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[10] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[11] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[12] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[13] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[14] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[15] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[16] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[17] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[18] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[19] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[20] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[21] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[22] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[23] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[24] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[25] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[26] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[27] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[28] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[29] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[30] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[31] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[32] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[33] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[34] set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[35] set_instance_assignment -name IO_STANDARD LVTTL -to SW[0] set_instance_assignment -name IO_STANDARD LVTTL -to SW[1] set_instance_assignment -name IO_STANDARD LVTTL -to SW[2] set_instance_assignment -name IO_STANDARD LVTTL -to SW[3] set_instance_assignment -name IO_STANDARD LVTTL -to SW[4] set_instance_assignment -name IO_STANDARD LVTTL -to SW[5] set_instance_assignment -name IO_STANDARD LVTTL -to SW[6] set_instance_assignment -name IO_STANDARD LVTTL -to SW[7] set_instance_assignment -name IO_STANDARD LVTTL -to SW[8] set_instance_assignment -name IO_STANDARD LVTTL -to SW[9] set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[0] set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[1] set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[2] set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[3] set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[4] set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[5] set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[6] set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[0] set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[1] set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[2] set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[3] set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[4] set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[5] set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[6] set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[0] set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[1] set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[2] set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[3] set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[4] set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[5] set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[6] set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[0] set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[1] set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[2] set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[3] set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[4] set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[5] set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[6] set_instance_assignment -name IO_STANDARD LVTTL -to KEY[0] set_instance_assignment -name IO_STANDARD LVTTL -to KEY[1] set_instance_assignment -name IO_STANDARD LVTTL -to KEY[2] set_instance_assignment -name IO_STANDARD LVTTL -to KEY[3] set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[0] set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[1] set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[2] set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[3] set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[4] set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[5] set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[6] set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[7] set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[8] set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[9] set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[0] set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[1] set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[2] set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[3] set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[4] set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[5] set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[6] set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[7] set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_27[1] set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24[0] set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24[1] set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_50 set_instance_assignment -name IO_STANDARD LVTTL -to EXT_CLOCK set_instance_assignment -name IO_STANDARD LVTTL -to PS2_CLK set_instance_assignment -name IO_STANDARD LVTTL -to PS2_DAT set_instance_assignment -name IO_STANDARD LVTTL -to UART_RXD set_instance_assignment -name IO_STANDARD LVTTL -to UART_TXD set_instance_assignment -name IO_STANDARD LVTTL -to TDI set_instance_assignment -name IO_STANDARD LVTTL -to TCS set_instance_assignment -name IO_STANDARD LVTTL -to TCK set_instance_assignment -name IO_STANDARD LVTTL -to TDO set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[0] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[1] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[2] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[3] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[0] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[1] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[2] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[3] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[0] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[1] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[2] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[3] set_instance_assignment -name IO_STANDARD LVTTL -to VGA_HS set_instance_assignment -name IO_STANDARD LVTTL -to VGA_VS set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SCLK set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SDAT set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCLRCK set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCDAT set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACLRCK set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACDAT set_instance_assignment -name IO_STANDARD LVTTL -to AUD_XCK set_instance_assignment -name IO_STANDARD LVTTL -to AUD_BCLK set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP" set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" set_global_assignment -name MISC_FILE "U:/git_repos/fpga/bbc/bbc_micro_de1.dpf" set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS4 set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED" set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name VHDL_FILE saa5050.vhd set_global_assignment -name VHDL_FILE i2s_intf.vhd set_global_assignment -name VHDL_FILE i2c_loader.vhd set_global_assignment -name VHDL_FILE "sn76489-1.0/sn76489_comp_pack-p.vhd" set_global_assignment -name VHDL_FILE "sn76489-1.0/sn76489_noise.vhd" set_global_assignment -name VHDL_FILE "sn76489-1.0/sn76489_tone.vhd" set_global_assignment -name VHDL_FILE "sn76489-1.0/sn76489_top.vhd" set_global_assignment -name VHDL_FILE "sn76489-1.0/sn76489_attenuator.vhd" set_global_assignment -name VHDL_FILE "sn76489-1.0/sn76489_clock_div.vhd" set_global_assignment -name VHDL_FILE "sn76489-1.0/sn76489_latch_ctrl.vhd" set_global_assignment -name VHDL_FILE ps2_intf.vhd set_global_assignment -name VHDL_FILE m6522.vhd set_global_assignment -name VHDL_FILE seg7.vhd set_global_assignment -name VHDL_FILE vidproc.vhd set_global_assignment -name VHDL_FILE mc6845.vhd set_global_assignment -name VHDL_FILE T65/T65_Pack.vhd set_global_assignment -name VHDL_FILE T65/T65.vhd set_global_assignment -name VHDL_FILE T65/T65_ALU.vhd set_global_assignment -name VHDL_FILE T65/T65_MCode.vhd set_global_assignment -name QIP_FILE pll32.qip set_global_assignment -name VHDL_FILE bbc_micro_de1.vhd set_global_assignment -name VHDL_FILE bbc_micro_de1_tb.vhd set_global_assignment -name VHDL_FILE m6522_tb.vhd set_global_assignment -name VHDL_FILE keyboard.vhd set_global_assignment -name VHDL_FILE debugger.vhd set_global_assignment -name QIP_FILE saa5050_rom.qip set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top